2 Liga Interregional Suiza . Jor. 17

Anteprima partita Alle vs Tavannes / Tramelan

Informazioni dell'incontro
Alle

Allenatore

-
Tavannes / Tramelan

Allenatore

-
Arbitri
Joel Vuillaume
Arbitro Principale

Ultimi scontri

1

vittorie ALL

1

pareggi

1

vittorie TAV

Comparazione squadre

X